CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - stopwatch verilog

搜索资源列表

  1. PAOBIAO_V

    0下载:
  2. 带音乐功能的跑表VerilogHDL描述-music with the stopwatch Verilog HDL descr iption
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:4855
    • 提供者:nil
  1. watch

    0下载:
  2. 基于verilog-HDL的电子秒表电路,采用quartusII72编译仿真,经下载测试通过。-Verilog-HDL-based electronic stopwatch circuit simulation using quartusII72 compiled by downloading the test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:388282
    • 提供者:潘萌
  1. stopwatch

    0下载:
  2. Quartus II工程压缩文件,是一个典型的基于FPGA的秒表工程项目,有50MHz分频、计数、译码等模块。采用VHDL语言编写。-Quartus II project files, is a typical FPGA-based project of the stopwatch, a 50MHz frequency, counting, decoding modules. Using VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:465122
    • 提供者:kg21kg
  1. StopWatch

    0下载:
  2. 用C#写的跑表,用于学习Timer控件和C#下的stopwatch类,在VS.net 2005下运行通过.-Using C# to write the stopwatch for the study and Timer controls and C# under the stopwatch class, VS.net 2005 in the run through.
  3. 所属分类:.net

    • 发布日期:2016-01-25
    • 文件大小:38855
    • 提供者:weixin
  1. StopWatch

    0下载:
  2. Verilog 编写的 秒表程序,在数码管上显示,带有清0和暂停键-Stopwatch Implemented by Verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:584483
    • 提供者:洪磊
  1. DigitalWatchVerilog

    0下载:
  2. 一个用Verilog实现的数字跑表的程序 希望对你的设计有帮助-With the realization of a digital stopwatch Verilog process of design you would like to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:676
    • 提供者:YangPeng
  1. stopwatch

    0下载:
  2. The program is written in verilog to accomplish functions of a stopwatch. It can be implemented in Xilinx FPGA spartan 3 board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:2373
    • 提供者:flyingwings
  1. code

    0下载:
  2. 这是一个数字跑表的代码,用FPGA实现的,对大家或许有用-This is a digital stopwatch in the code, FPGA implementation, perhaps all of us
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:161557
    • 提供者:马秀成
  1. stopwatch

    0下载:
  2. verilog 秒表程序 用quartus 编写-Verilog stopwatch ............................................................................................
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:430714
    • 提供者:icer
  1. key_display

    0下载:
  2. 秒表 verilog 程序非常适合刚接触 vreilog语言的人学习-Stopwatch verilog program is ideal for people new to vreilog language learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:373792
    • 提供者:张江
  1. stopwatch1

    0下载:
  2. stopwatch : verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1125524
    • 提供者:hanjaeyoung
  1. stopwatch

    0下载:
  2. 用Verilog编写的秒表,可以实现计时、复位、暂停等功能。-stopwatch using Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:485979
    • 提供者:陈璜骁
  1. 60-seconds-stopwatch--0.1S

    0下载:
  2. 60秒秒表设计 精确到0.1秒 有开始,有暂停 又终止-60 seconds stopwatch verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4322
    • 提供者:董福
  1. stopwatch---60s

    0下载:
  2. 60秒stopwatch verilog语言编写 又开始位 有暂停位 有终止位-60s stopwatch verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:3534
    • 提供者:董福
  1. stopwatch-programmer-

    0下载:
  2. 秒表 stopwatch verilog语言编写-stopwatch verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:3297
    • 提供者:董福
  1. 60s-StopWatch--verilog

    0下载:
  2. stopwatch 60s计数 精确到0.1秒 verilog语言编写-stopwatch verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:586454
    • 提供者:董福
  1. Verilog秒表设计

    0下载:
  2. 用verilog在basys2开发板上实现一个具有置零、开始、暂停、记忆功能的秒表。(Implement a stopwatch which containing reset,pause,start,memory functions with the verilog on the vivado based on the basys2 development board.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:637952
    • 提供者:terriao
  1. verilog-stopwatch-master

    0下载:
  2. verilog stop watch code for end user
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:10240
    • 提供者:nira
  1. StopWatch

    0下载:
  2. 利用Verilog实现数字秒表(基本逻辑设计分频器练习) 设置复位开关。当按下复位开关时,秒表清零并做好计时准备。在任何情况下只要按下复位开关,秒表都要无条件地进行复位操作,即使是在计时过程中也要无条件地进行清零操作。 设置启/停开关。当按下启/停开关后,将启动秒表输出,当再按一下启/停开关时,将终止秒表的输出。 采用结构化设计风格描述,即先设计一个10分频电路,再用此电路构建秒表电路。(Using Verilog to realize digital stopwatch (basic l
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:1024
    • 提供者:VoidShooter
  1. paobiao

    0下载:
  2. 此上传的是在FPGA的spartan 3e系列开发板上面实现精准到 时、分、秒、百分秒的数字跑表的Verilog源代码。(This is uploaded on the FPGA Spartan 3E series development board to achieve precise time, minute, seconds, 100 seconds of digital stopwatch Verilog source code.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:15143936
    • 提供者:木子桶
« 12 3 4 5 6 »
搜珍网 www.dssz.com